ArchiTecnologia

Aprendizaje abierto. Conocimiento libre.

ArchiTecnologia
ElectrónicaSemiconductores

IMS Nanofabrication y EV Group: Las otras joyas de los semiconductores de Europa

Ya os hablé en otra ocasión sobre el importante papel de dos de las joyas en la industria de los semiconductores que tiene Europa: ASML e IMEC. Incluso tuve el honor de poder conseguir una entrevista exclusiva con ASML. Estas dos corporaciones son clave en el desarrollo de la industria mundial, y de ellas dependen foundries tan importantes como TSMC, Intel, Samsung, etc. Pues bien, lo que pocos saben es que existen otras dos joyas europeas además de estas dos.

A pesar de ser desconocidas, las austriacas EV Group e IMS Nanofabrication son cruciales para toda la fabricación de semiconductores avanzados. Todas las empresas de producción de lógica avanzada, DRAM, NAND y sensores de imagen confían en estas dos firmas para sus productos. Estas dos empresas representan el 82% de la producción de obleas en Austria y más del 95% de los fabricación de máscaras para la producción de chips. Sustituir a estos proveedores sería difícil, ya que se necesitaría mucho tiempo para lograr la paridad tecnológica y la escala de la cadena de suministro.

IMS Nanofabrication

IMS nanofabrication

 

IMS Nanofabrication se fundó en Viena en 1985. Durante varios años, patinaron con investigaciones sorprendentes, pero no tenían productos significativos. En 2009, Intel Corporation les financió debido a la naturaleza prospectiva de su sistema de máscara de grabado multi-haz de electrones. En 2016, la empresa fue comprada por Intel tras lanzar el primer equipo de máscaras multihaz comercial como resultado de su inversión. Esta tecnología y sus derivados son necesarios para todos los nodos de proceso más allá de los 7nm.

La litografía EUV es el mayor avance en la fabricación de semiconductores avanzados, pero estas herramientas de 150 millones de dólares serían pisapapeles sin las fotomáscaras. Los dispositivos de litografía necesitan una plantilla física para modelar las capas de un chip, y un equipo grabador de máscaras puede considerarse tecnología clave. Con él se imprime de forma precisa el diseño base que luego se usará durante la fotolitografía durante la fabricación de chips, y para obtener el chip completo se necesitan un conjunto de máscaras por los que pasan los wafers en la foundry.

IMS Nanofabrication tiene un competidor denominado NuFlare (Toshiba), pero las herramientas de NuFlare son más lentas y menos precisas que las suyas. Por este motivo, este competidor nipón fabrica menos máscaras debido a esa lentitud. Como resultado, IMS Nanofabrication ha fabricado más del 98% de las máscaras EUV de producción. Cada diseño de chip individual incluye un conjunto de máscaras que cuesta hasta 50 millones de dólares en un nodo de 3 nm. Las máscaras deben repararse o sustituirse cuando son viejas si la producción de dicho chip persiste. Las máscaras empiezan a funcionar mal con el paso del tiempo, por lo que hay que repararlas o sustituirlas por otras nuevas. Por ello, hay que fabricar nuevas máscaras tanto para los diseños existentes como para los nuevos.

Sin los grabadores de máscaras de IMS Nanofabrication, todas las tecnologías de proceso EUV dejarían de funcionar. Más allá de los 7nm, todas las tecnologías de proceso de Intel, TSMC, Samsung, SK Hynix, Micron, etc., dependen de ello. Para que te hagas una idea, TSMC, Samsung y Micron producen el 90% de las memorias tipo DRAM con máscaras de IMS Nanofabrication.

Más sobre IMS Nanofabrication

EV Group

ev group

El equipo de producción de semiconductores lo proporciona EV Group, una empresa privada con sede en Austria. Como son desconocidos, la mayoría de la gente no ha oído hablar de ellos. EV Group fabrica equipos de alineación de máscaras, bastidores fotorresistentes, limpieza de obleas y metrología, además de otros equipos de fabricación de semiconductores. Tiene una cuota importante en el mercado de la unión de obleas, que es la empleada en la mayoría de los sensores de imagen CMOS producidos por Sony, Samsung y Omnivision. EV Group ofrece herramientas para casi todos los sensores de teléfonos inteligentes, automóviles y cámaras de seguridad que existen.

Además, SK Hynix, Kioxia, Western Digital, Samsung y Micron, entre otros fabricantes, tienen previsto aplicar la tecnología de unión híbrida de obleas en un futuro próximo. YMTC, una empresa china, utiliza los dispositivos de unión híbrida de oblea sobre oblea de EV Group para producir matrices. Además de los sensores de imagen y los dispositivos de memoria flash, la unión por fusión se utiliza para la producción de dispositivos lógicos.

IMEC, Intel, TSMC y Applied Materials han invertido grades esfuerzos en esto precisamente. Ten en cuenta que primero se diseña la capa de transistores, luego las interconexiones y después la parte trasera, que conecta todos los pads con el exterior. Esto plantea varios problemas: la energía y las señales deben viajar en la misma pila de interconexión, en sistemas cada vez más complejos y con mayor número de conexiones. Para resolver esta dificultad, los equipos de EV Group son indispensables.

Ten en cuenta que EV Group es pionero en la integración 3D, desde el empaquetado hasta el escalado de transistores con la tecnología de liberación de capas NanoCleave. Esta tecnología se basa en el corte por láser IR, permitiendo pasar entre capas con una precisión nanométrica para el 3D packaging. Por supuesto, también cuentan con tecnologías de adhesión y desunión para este tipo de empaquetado, así como unión eutética de obleas, tecnología TLP o enlace de fase líquida transitoria, unión anódica, unión por difusión de metales, unión por fusión híbrida, fusión de matriz a oblea, tecnologías de unión de alto vacío como la ComBond, etc.

Más sbore EV Group

Isaac

Apasionado de la computación y la tecnología en general. Siempre intentando desaprender para apreHender.

Si continuas utilizando este sitio aceptas el uso de cookies. más información

Los ajustes de cookies de esta web están configurados para "permitir cookies" y así ofrecerte la mejor experiencia de navegación posible. Si sigues utilizando esta web sin cambiar tus ajustes de cookies o haces clic en "Aceptar" estarás dando tu consentimiento a esto.

Cerrar